首页 > 技术 > 内容

FPGA约束的详细介绍

时间:2025-12-04  作者:Diven  阅读:0

1、约束的目的

介绍FPGA约束原理,理解约束的目的为设计服务,是为了保证设计满足时序要求,指导FPGA工具进行综合和实现,约束是Vivado等工具努力实现的目标。所以首先要设计合理,才可能满足约束,约束反过来检查设计能否满足时序。主要涉及到xilinx vivado xdc约束语法,给出对应的ISE ucf 语法。另外quatus的语法和xdc几乎兼容,原理都一样。

时序约束中最基本的是时钟,时钟有抖动(jitter),偏移(skew),占空比失真(duty cycle distortion)三种特性。

1、抖动,分为周期抖动(cycle jitter),周期差抖动(cycle to cycle jitter)和长期抖动(long term jitter)。

2、偏移和时钟线的长度,时序单元的负载电容和个数有关。FPGA的全局时钟采用全铜工艺和树状结构,偏移非常小,可以忽略。

3、占空比失真就是高低电平不对称,会吞噬时序裕量。

2、基本的时钟约束

最简单的情况,设计只有一个时钟。这时候需要对这个时钟的周期进行约束,(当然也可以对占空比进行约束,默认为50%)。如果不对时钟进行约束,我们就不知道自己的设计是否时序收敛。简单的说,比如系统时钟是200M的,那么周期就是5ns,也就是说信号从一个寄存器出来,经过了一系列的组合逻辑,要在下一个时钟的上升沿到来之前被目的寄存器采样到。当然考虑到,实际情况还复杂很多。

假如设计里有很多时钟,那么哪些时钟是必须要约束的呢?

首先是从端口进入FPGA的时钟。其衍生时钟(如通过PLL或者MMCM生成的)跟是同源时钟,工具会自动推导。然后是GT的rx_clk和tx_clk必须要约束。最后是用户自己分频产生的行波时钟(用于简单的低频应用),必须约束。

create_clock -name clk_200m -period 5 [get_ports I_clk200]

3、跨时钟域cdc约束

set_clock_groUPS –asynchronous -group [get_clocks -include_generated_clocks clk_1]

-group [get_clocks -include_generated_clocks clk_2]

4、input delay

input delay和output delay都是分析的外部延时,与ISE的ucf约束FPGA内部延时恰恰相反。

5、output delay

假设周期是10ns

OFFSET = OUT 4ns AFTER clock;

set_output_delay 6 -clock [get_clocks ] [get_ports ]

通过把input output register pack到IOB中,和约束slew可以更容易满足接口时序要求。

rtl中约束

(* IOB = “true” *)

O_config_dat

xdc中约束

set_property IOB true [get_ports O_config_dat]

set_property SLEW FAST [get_ports O_config_dat]

猜您喜欢


0402贴片电阻,因其微小的封装尺寸而得名,是电子电路中常见的元器件。它的尺寸规格究竟是多少呢? 「0402」 实际指的是英制尺寸,表示其长度为0.04英寸,宽...
2025-04-14 15:03:17
气动锉刀是高效的工具,应用于金属加工、汽车维修和木工等领域。主要作用是对工件进行精细加工和表面处理。与传统手动锉刀相比,气动锉刀能够大幅提高工作效率,减少操作时...
2018-11-11 00:00:00
精密电阻作为电子元件中的重要组成部分,应用于各种高精度电子设备中。RALEC(旺诠)作为知名的精密电阻制造商,其产品以高稳定性和高可靠性,深受业内认可。本文将围...
2016-04-30 02:21:30
现代产品设计中,配件扮演着越来越重要的角色。特别是“Accessories_111X33.8MM”,作为一种独特规格的配件,具备了多种功能和应用场景。无论是电子...
2025-03-04 01:44:41
现代电力管理中,DC-DC控制器是一种重要的组件,通过优化电流转换与电压调整,提升各种电气设备的效率和稳定性。DC-DC控制器以其高度的可靠性和灵活性,成为家庭...
2024-11-02 00:00:00
模数转换芯片(ADC)在现代电子设备中是非常重要的配件,其应用领域而多样。在消费电子产品中,ADC被应用于音频设备和图像传感器,将模拟信号转化为数字信号,确保高...
2022-04-25 00:00:00
厌氧型密封胶是专门用于密封和粘接的材料,应用于汽车、航空、电子和建筑等行业。其主要作用在于提供强大的密封性能,能够有效阻挡水分、气体和化学物质的侵入,保护设备和...
2021-12-30 00:00:00
贴片电阻,这种小型电子元件在各种电子设备中是很重要的配件。对于120欧姆的贴片电阻,的标识方法你了解吗?本文将为你揭开其神秘面纱。由于贴片电阻体积微小,无法直接...
2024-11-29 10:25:59
随着石油天然气勘探开发工作的不断发展,我们所面临的勘探对象和开发环境也越来越复杂、越来越困难。地震方法面临着复杂构造油气藏、岩性油气藏和裂缝油气藏勘探及寻找“剩...
2020-08-07 18:05:00
变容二极管(Varactor Diode)是特殊类型的二极管,其主要用途在于调谐电路中。通过改变反向偏置电压,变容二极管能够实现电容值的变化,因此应用于调频收音...
2025-04-05 08:30:35