基于Verilog HDL的FPGA图像滤波处理仿真实现

时间:2025-11-01  作者:Diven  阅读:0

今天给大侠带来FPGA设计中用Verilog HDL实现基本的图像滤波处理仿真,话不多说,上货。   1、用matlab代码,准备好把图片转化成Vivado Simulator识别的格式,即每行一个数据:

基于Verilog HDL的FPGA图像滤波处理仿真实现

  代码:

 

img = imread('E:matlabImages2016-09-05-211710.jpg'); if size(img,3)==3 img = rgb2gray(img); end height = size(img, 1); width = size(img, 2); s = fopen('image2mem.txt','wb'); %opens the output file cnt = 0; for r=1:height for c=1:width cnt = cnt + 1; grey=img(r,c); greyb = dec2bin(grey,8); Outbyte =greyb(1:8); if (Outbyte(1:4) == '0000')fprintf(s,'0%X',bin2dec(Outbyte)); else fprintf(s,'%X',bin2dec(Outbyte)); end if (mod(cnt,1) == 0)fprintf(s,''); end end end figure,imshow(img); fclose(s);
    2、EdgeSobel的Verilog源代码:

 

 

代码:

 

`timescale 1ns/1psModule EdgeSobel( input clk, input [7:0] inData, input [11:0]x, input [11:0]y, output [7:0] outData ); parameter pixel_depth=8; parameter frame_width=640; parameter block_width=3; parameter block_height=3; parameter shiftRegSize=pixel_depth*((block_height-1)*frame_width+block_width); reg[shiftRegSize-1:0] shiftReg; wire [block_width*block_height*pixel_depth-1:0] Window; initial begin shiftReg=10264'b0;end always@(posedge clk)if((x<640)&&(y<480))shiftReg<={shiftReg,inData}; genvar i,j; generate for(i = 0; i < block_height; i = i + 1) begin : array for(j = 0; j < block_width; j = j + 1)     begin : vector      assign Window[pixel_depth*(i * block_width + j)+:pixel_depth] =shiftReg[pixel_depth*(i*frame_width+j)+:pixel_depth];    end  end  endgenerate  wire [7:0] average;  assign average =      (Window[7:0]+Window[15:8]+Window[23:16]+    //Window[31:24]+Window[39:32]+Window[47:40]+    Window[31:24]+Window[39:32]+Window[47:40]+    Window[55:48]+Window[63:56]+Window[71:64])/9 ;  wire signed [pixel_depth+1:0] Gx;  wire signed [pixel_depth+1:0] Gy;  wire [pixel_depth+1:0] Gxabs;  wire [pixel_depth+1:0] Gyabs;  wire [pixel_depth+1:0] G;  assign Gx =   shiftReg[pixel_depth*(0*frame_width+2)+:pixel_depth]        +2*shiftReg[pixel_depth*(1*frame_width+2)+:pixel_depth]        +  shiftReg[pixel_depth*(2*frame_width+2)+:pixel_depth]        -  shiftReg[pixel_depth*(0*frame_width+0)+:pixel_depth]        -2*shiftReg[pixel_depth*(1*frame_width+0)+:pixel_depth]        -  shiftReg[pixel_depth*(2*frame_width+0)+:pixel_depth];  assign Gy =   shiftReg[pixel_depth*(2*frame_width+0)+:pixel_depth]        +2*shiftReg[pixel_depth*(2*frame_width+1)+:pixel_depth]        +  shiftReg[pixel_depth*(2*frame_width+2)+:pixel_depth]        -  shiftReg[pixel_depth*(0*frame_width+0)+:pixel_depth]        -2*shiftReg[pixel_depth*(0*frame_width+1)+:pixel_depth]        -  shiftReg[pixel_depth*(0*frame_width+2)+:pixel_depth];     assign Gxabs = (Gx>0)?Gx-Gx); assign Gyabs = (Gy>0)?Gy-Gy); assign G = GxABS+GyABS; //assign outData = average; //平滑 assign outData = G[9:2]; //边缘检测endModule

3、仿真文件:EdgeSobel_tb.v

代码:

`timescale 1ns / 1ps

module edgesobel_tb; reg clk; reg [7:0] inData; reg [19:0] cnt; reg [9:0] row; wire [7:0] outData; reg [7:0] image [307199:0]; integer file_id; reg [4:0] frame_cnt; initial begin $readmemh("E:/matlab/Vivado/image2mem.txt", image); file_id = $fopen("E:/matlab/Vivado/mem2image.txt","w"); clk = 0; cnt = 0; row = 0; frame_cnt = 0; end EdgeSobel u_2 ( .clk(clk), .x(1), .y(1), .inData(inData), .outData(outData) ); always #1 clk = ~clk; always@(posedge clk) begin if(cnt == 307200) begin cnt = 0; row = 0; frame_cnt = frame_cnt + 1; end else inData = image[cnt]; cnt = cnt+1; if(frame_cnt==1) begin $fwrite(file_id, "%d ", outData); if(((cnt % 640)==0) &&(cnt>0)) begin $fwrite(file_id,""); row = row + 1; end; end endendmodule

4、把输出的txt文件转化成图片Matlab程序:

A=importdata('E:matlabVivadomem2image.txt');A=A./255;imshow(A);

注意这里的A是double类型的,直接进行imshow会全白,要转化到0-1:A=A./255,或者把double类型转化为整形。

审核编辑:黄飞

 

猜您喜欢

贴片电阻上的01x并不是一个标准的阻值标识。通常,贴片电阻的阻值会用三位或四位数字代码来表示,例如102表示1000欧姆(1kΩ),221表示220欧姆。01x...
2024-11-29 10:26:11

贴片电阻上的「01C」标识代表其阻值为10kΩ。贴片电阻通常使用三位数代码来表示阻值。其中前两位数字代表有效数字,第三位数字代表10的幂次方。字母「C」代表的是...
2024-11-26 11:29:22


贴片电阻R075代表的是其尺寸,而非阻值。075指的是英制尺寸0705,表示该电阻的长宽为0.07英寸 x 0.05英寸,转换成公制约为1.8mm x 1.3m...
2024-11-29 10:25:48

贴片电阻是现代电子产品中重要的元器件,应用于电路中以实现电压分配、信号调节等功能。电子设备向小型化、集成化发展,贴片电阻的封装形式也越来越多样化。本文将为您介绍...
2025-03-17 09:31:07

在选择垃圾桶时,规格尺寸是一个重要的考虑因素。家庭常用的垃圾桶尺寸多在10升到50升之间。小型垃圾桶(10-20升)适合卫生间或卧室,便于放置且不占空间。中型垃...
2021-10-11 00:00:00

贴片电阻,因其体积小巧、易于自动化生产而广泛应用于电子电路中。理解其规格和代码对于正确选择和使用至关重要。贴片电阻的规格主要体现在阻值、精度和功率上。阻值表示电...
2024-11-26 11:29:28

电流采样电阻作为关键元件,承担着测量和反馈电流的重要职责。美磊(Mag.Layers)作为知名的电子元器件品牌,其电流采样电阻因性能稳定、参数精准而受到市场青睐...
2016-10-18 05:12:30

贴片电阻上的4301并不是直接表示阻值,而是一种编码方式。代表的是430 x 10^1 Ω,也就是4300Ω,通常简写为4.3kΩ。这种编码方式被称为三位数标注...
2024-11-29 10:25:56

压接插针是重要的电子连接器件,应用于各种电子设备中。主要作用是实现电气连接,确保信号的稳定传输和电流的有效导通。通过压接插针,可以将电缆与电路板、模块或其组件牢...
2008-06-29 00:00:00